Overview
Examples
Screenshots
Comparisons
Applications
Download
Documentation
Tutorials
Bazaar
Status & Roadmap
FAQ
Authors & License
Forums
Funding Ultimate++
Search on this site
Search in forums












SourceForge.net Logo
Home » U++ TheIDE » U++ TheIDE: Installation, Compiling and Running of theide » problems with creating new packages
Re: problems with creating new packages [message #39349 is a reply to message #39346] Wed, 13 March 2013 12:11 Go to previous messageGo to previous message
ebojd is currently offline  ebojd
Messages: 225
Registered: January 2007
Location: USA
Experienced Member


dolik.rce: "performing necromancy on this [ancient post]"

ROFLOL. Thanks for that one.

Sietze: no problem. I was just having trouble dredging the mental archives to figure out what I was doing then, and how this related to the current code base. Typically what I do when faced with the situation is to start a new thread (if it more than several months old) and add "this may be related to the XYZ problem discussed in <link>". Anyway, no problems either way. I hope you get the issue resolved, and sorry I could not be of more help.
 
Read Message
Read Message icon11.gif
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Previous Topic: Debian-repository
Next Topic: [SOLVED] Scientific Linux installation problem
Goto Forum:
  


Current Time: Mon May 20 19:42:12 CEST 2024

Total time taken to generate the page: 0.00919 seconds