Bug #1262

problem with enum variable

Added by Miroslav Fidler over 8 years ago. Updated over 8 years ago.

Status:ApprovedStart date:09/10/2015
Priority:NormalDue date:
Assignee:-% Done:

0%

Category:Assist++Spent time:-
Target version:Release 2015.2

Description

struct Foo {
enum { SM_NONE, SM_SINGLE, SM_DOUBLE } selmode;
};

History

#1 Updated by Miroslav Fidler over 8 years ago

  • Status changed from New to Approved

Also available in: Atom PDF