Overview
Examples
Screenshots
Comparisons
Applications
Download
Documentation
Tutorials
Bazaar
Status & Roadmap
FAQ
Authors & License
Forums
Funding Ultimate++
Search on this site
Search in forums












SourceForge.net Logo
Home » Developing U++ » UppHub » [REPLACED by Cypher package]StreamCypher - A package for stream data cryptography
Re: StreamCypher - A package for stream data cryptography [message #29016 is a reply to message #29015] Sat, 02 October 2010 09:25 Go to previous messageGo to previous message
mdelfede is currently offline  mdelfede
Messages: 1307
Registered: September 2007
Ultimate Contributor
Hi Pavel,

I started coding the interface... I had to name it Cypher because Crypto package is already on Uppsrc Sad
I don't know its purpose, either... it's a quite small package.

As I see you use external openssl to make the encryption...

Here
http://www.ultimatepp.org/forum/index.php?t=msg&&th= 5547&goto=28904#msg_28904

Zbych attached an AES256 module which could help to make the class self-contained without need to external apps. Thet (AFAIK) would allow you to do all without having to store the key.

I think I'll post the starting interface and my encryptors today or tomorrow, so you can review it.

Ciao

Max
 
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Read Message
Previous Topic: Error with MessageCtrl when using "["
Next Topic: Uniq : single instance applications made easy
Goto Forum:
  


Current Time: Sun Apr 28 15:32:51 CEST 2024

Total time taken to generate the page: 0.03105 seconds